找回密码
 注册

QQ登录

只需一步,快速开始

搜索

labview控制LED灯开关实验

[复制链接]
eng 发表于 2023-7-12 19:59:18 | 显示全部楼层 |阅读模式
一、实验目的
1、认识函数,学习函数调用2、了解控件属性3、点亮LED灯并实现多种控制模式
二、实验内容:
1、通过PN节知识知道,LED通过5-20mA电流它就可以被点亮。我们让采集板OUT口连接到②端(LED正极),负极接地③端,就可以点亮一盏LED。 102808mnq3j63an9j739mn.png
2、板卡连接电脑后,在Labview中正确搭建板卡函数,运用结构、数值编程控制一盏LED灯。3、在熟悉我们的板卡编程思路后,拔高编程水平,实现LED跑马灯效果。三、实验步骤:1、采集板上OUT1连接功能板LED区任一②端;GND连接③端,板卡上电。2、打开Labview,文件-新建vi,调用提供的接口函数。点击‘窗口’-‘显示程序框图’,在程序框图面板右键,‘互联接口’-‘库与可执行程序’-‘调用库函数节点’,双击库函数节点,填写USBDAQ_DLL_V12.DLL文件路径,调用函数名,如图,
102918vdrqrwwfqbg0gzbt.png
我们依次调用‘OpenUsbV12’、‘DoSetV12’、‘CloseUsbV12’这三个函数,然后在它的‘参数’一栏,按照使用手册对这个参数的数据类型进行设置,确认。这时,在程序面板上就出现了需要的三个函数(为了看清函数名,可右击函数-‘名称格式’-‘名称’选项),如图,
102947zgfe4mcrj7fm3m6j.png
3、板卡在Labview中使用的顺序是:打开设备-运行设备-退出关闭设备。如图,加入顺序结构(右键-编程-结构-平铺式顺序结构)、while循环,在while里给它一个退出条件(右键while循环条件-创建输入控件),拖动鼠标连线。DoSetV12一直运行在while循环里,在前面板按下‘停止’,退出循环,顺序执行关闭设备
103005y677oo55ddehjojn.png
通过数据手册得知,DoSetV12的第一个参数chan选择的是通道,第二个state是输出高低电平的状态,我们给它们分别赋值0、1(右键-数值-数值常量),即OUT1输出高电平,运行vi,可以看到开发板点亮一盏LED。
4、这盏LED闪烁亮灭的效果就是让它不停的隔一段时间切换一次输出状态,我们调用条件结构,赋值0和1,通过反馈节点赋值(右键-布尔-真假常量)每隔500ms定时,判断一次输出状态,如图
103026q9mdjms7dmf8xsjx.png
5、采集板上OUT1-4连接功能板LED区②端;GND连接③端。让一盏灯循环亮起,其它灯熄灭,就像一盏灯在跑动。在while循环运行里,加for循环,赋循环次数4,计数端赋值给DoSetV12通道号,用两个DoSetV12函数实现亮上一盏,灭下一盏,然后处理判断首尾,就完成了跑马灯效果,如图
103050aza6pablgznkpsh8.png
实验结果及思考
1、通过Labview编程让开发板上一LED的亮灭
2、通过Labivew编程实现开发板上LED跑马灯效果思考:怎么通过上位机编程,实现每个开关控制每盏LED灯?

点亮led.rar (4.96 KB, 售价: 1 E币)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

QQ|手机版|小黑屋|ELEOK |网站地图

GMT+8, 2024-4-27 18:54

Powered by Discuz! X3.5

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表